用VHDL設(shè)計(jì)的任意頻率分頻器
Sometimes I need to generate a clock at a lower frequency than the main clock driving the FPGA. If the ratio of the frequencies is a power of 2, the logic is easy. If the ratio is an integer N, then a divide-by-N counter is only a little harder. But if the ratio isn"t an integer, a little (and I mean a little) math is required. Note that the new clock will have lots of jitter: there"s no escaping that. But it will have no drift, and for some applications that"s what counts. If you have a clock A at frequency a, and want to make a clock B at some lower frequency b (that is, b a), then something like: d = 0; forever { Wait for clock A. if (d 1) { d += (b/a); } else { d += (b/a) - 1; /* getting here means tick for clock B */ } } but comparison against zero is easier, so subtract 1 from d: d = 0; forever { Wait for clock A. if (d 0) { d += (b/a); } else { d += (b/a) - 1; /* getting here means tick for clock B */ } } want an integer representation, so multiply everything by a: d = 0; forever { Wait for clock A. if (d 0) { d += b; } else { d += b - a; /* getting here means tick for clock B */ } } For example. I just bought a bargain batch of 14.1523MHz oscillators from BG but I need to generate a 24Hz clock. So a=14152300 and b=24: d = 0; forever { Wait for clock A. if (d 0) { d += 24; } else { d += 24 - 14152300; /* getting here means tick for clock B */ } } For a hardware implementation I need to know how many bits are needed for d: here it"s 24 bits to hold the largest value (-14152300) plus one more bit for the sign. In VHDL this looks like: signal d, dInc, dN : std_logic_vector(24 downto 0); process (d) begin if (d(24) = "1") then dInc = 0000000000000000000011000; -- (24) else dInc = 1001010000000110110101100; -- (24 - 14152300) end if; end process; dN = d + dInc; process begin wait until A"event and A = "1"; d = dN; -- clock B tick whenever d(24) is zero end process;
關(guān)鍵詞: VHDL任意頻率分頻器
您可能也感興趣:
今日熱點(diǎn)
為您推薦
廈門開(kāi)展銀行保險(xiǎn)業(yè)消保教育宣傳周活動(dòng) 促進(jìn)行業(yè)數(shù)字化創(chuàng)新
友邦保險(xiǎn)去年業(yè)績(jī)亮眼 新業(yè)務(wù)價(jià)值上升18%至33.66億美元
陜西省將加大財(cái)政投入力度 推動(dòng)農(nóng)業(yè)保險(xiǎn)持續(xù)“擴(kuò)面”
更多
- Quanergy LiDAR解決方案加速普及物料運(yùn)送市場(chǎng)的自動(dòng)化
- Ola宣布前LG化學(xué)動(dòng)力CEO加入董事會(huì)
- 奧升德和三星SDI達(dá)成專利失效訴訟和解
- TUV萊茵助白犀牛完成中國(guó)首個(gè)低速無(wú)人車ISO 22737場(chǎng)景評(píng)估測(cè)試
- Jya將Sensirion納入新推出的先進(jìn)空氣凈化器
- 如何最大限度減小電源設(shè)計(jì)中輸出電容的數(shù)量和尺寸
- e絡(luò)盟與Raspberry Pi Ltd共慶合作十周年,尋找服役最久的Raspberry Pi項(xiàng)目
- SiTime 徹底改變面向邊緣網(wǎng)絡(luò)的高精度時(shí)序市場(chǎng)
更多
- ICE將從指數(shù)中刪除所有俄羅斯和白俄羅斯債券
- 隱性債務(wù)監(jiān)管高壓態(tài)勢(shì)不變 強(qiáng)調(diào)防范“處置風(fēng)險(xiǎn)的風(fēng)險(xiǎn)”
- 海岸城鎮(zhèn)10億元私募債券項(xiàng)目狀態(tài)更新為“已反饋”
- 碧桂園2024年到期的8%債券每1美元面值跌3.3美分
- 世茂股份:已足額劃撥“19世茂G2”本金及利息兌付資金
- 新城控股:總裁梁志誠(chéng)等擬購(gòu)買2000萬(wàn)元公司債券
- 摩根大通處理俄羅斯債券付款,花旗匯款
- 新城控股董事兼總裁梁志誠(chéng)等擬購(gòu)買2000萬(wàn)元公司債券
排行
最近更新
- 用VHDL設(shè)計(jì)的任意頻率分頻器
- 光纖光纜及其器件基礎(chǔ)概括
- ICE將從指數(shù)中刪除所有俄羅斯和白俄羅斯債券
- 隱性債務(wù)監(jiān)管高壓態(tài)勢(shì)不變 強(qiáng)調(diào)防范“處置風(fēng)險(xiǎn)的風(fēng)險(xiǎn)”
- 數(shù)據(jù):交易所以太坊流出量達(dá)到2022年峰值
- “國(guó)潮”風(fēng)暴下,李寧營(yíng)收首破兩百億
- 你家肖戰(zhàn)代言這一年,李寧猛賺40億,一雙襪子要賣110元
- 哪些肢體接觸算超出曖昧
- 互聯(lián)網(wǎng)醫(yī)院線上義診
- 4月1日起 昆明將門診費(fèi)用納入職工醫(yī)保統(tǒng)籌基金支
- 事發(fā)石家莊!36歲男子不胖也不常喝酒,卻查出脂肪肝!咋回事?
- 教授病危,百名師生涌向獻(xiàn)血車!后續(xù)來(lái)了……
- 腫瘤患者吃膏方需要注意些什么?
- 良之隆·2022第十屆中國(guó)食材電商節(jié)6月8日-10日復(fù)展通知
- 一份涼皮相當(dāng)于幾碗米飯
- 涼面和涼皮的熱量哪個(gè)低
- 涼皮和涼面哪個(gè)容易胖
- 接觸性出血是什么原因造成的
- 開(kāi)灤總醫(yī)院肝膽外科團(tuán)隊(duì)29小時(shí)內(nèi)連做4臺(tái)腹腔鏡下胰十二指腸切除術(shù)
- 3月18日上海各區(qū)確診病例、無(wú)癥狀感染者居住地信息公布
- 中國(guó)睡眠研究報(bào)告:僅35%國(guó)人睡夠8小時(shí)
- 中山大學(xué)附屬口腔醫(yī)院許躍“觸網(wǎng)”科普,守護(hù)口腔健康
- 力量訓(xùn)練或許比跑步更有助睡眠
- 修手機(jī)、辦卡的時(shí)候,您可得長(zhǎng)個(gè)心眼兒
- 直播吸粉無(wú)底線,線下公安局里見(jiàn)!
- 公安機(jī)關(guān)嚴(yán)厲打擊偷拍偷窺黑色產(chǎn)業(yè)鏈條
- 網(wǎng)安女警的下班業(yè)余
- 言歸“證”傳,上“警快辦” | 駕駛證業(yè)務(wù)辦理看過(guò)來(lái)
- 反詐小課堂 | 在嗎?我出事了,要借錢!
- 我為群眾辦實(shí)事 | 善意會(huì)接力!被民警幫助過(guò)的人再次找到了...
今日要聞
- ICE將從指數(shù)中刪除所有俄羅斯和白俄羅斯債券
- “國(guó)潮”風(fēng)暴下,李寧營(yíng)收首破兩百億
- 光纖光纜及其器件基礎(chǔ)概括
- 用VHDL設(shè)計(jì)的任意頻率分頻器
- 數(shù)據(jù):交易所以太坊流出量達(dá)到2022年峰值
- 你家肖戰(zhàn)代言這一年,李寧猛賺40億,一雙襪子要賣110元
- 隱性債務(wù)監(jiān)管高壓態(tài)勢(shì)不變 強(qiáng)調(diào)防范“處置風(fēng)險(xiǎn)的風(fēng)險(xiǎn)”
- 海岸城鎮(zhèn)10億元私募債券項(xiàng)目狀態(tài)更新為“已反饋”
- 碧桂園2024年到期的8%債券每1美元面值跌3.3美分
- 世茂股份:已足額劃撥“19世茂G2”本金及利息兌付資金