用VHDL設(shè)計(jì)的任意頻率分頻器
Sometimes I need to generate a clock at a lower frequency than the main clock driving the FPGA. If the ratio of the frequencies is a power of 2, the logic is easy. If the ratio is an integer N, then a divide-by-N counter is only a little harder. But if the ratio isn"t an integer, a little (and I mean a little) math is required. Note that the new clock will have lots of jitter: there"s no escaping that. But it will have no drift, and for some applications that"s what counts. If you have a clock A at frequency a, and want to make a clock B at some lower frequency b (that is, b a), then something like: d = 0; forever { Wait for clock A. if (d 1) { d += (b/a); } else { d += (b/a) - 1; /* getting here means tick for clock B */ } } but comparison against zero is easier, so subtract 1 from d: d = 0; forever { Wait for clock A. if (d 0) { d += (b/a); } else { d += (b/a) - 1; /* getting here means tick for clock B */ } } want an integer representation, so multiply everything by a: d = 0; forever { Wait for clock A. if (d 0) { d += b; } else { d += b - a; /* getting here means tick for clock B */ } } For example. I just bought a bargain batch of 14.1523MHz oscillators from BG but I need to generate a 24Hz clock. So a=14152300 and b=24: d = 0; forever { Wait for clock A. if (d 0) { d += 24; } else { d += 24 - 14152300; /* getting here means tick for clock B */ } } For a hardware implementation I need to know how many bits are needed for d: here it"s 24 bits to hold the largest value (-14152300) plus one more bit for the sign. In VHDL this looks like: signal d, dInc, dN : std_logic_vector(24 downto 0); process (d) begin if (d(24) = "1") then dInc = 0000000000000000000011000; -- (24) else dInc = 1001010000000110110101100; -- (24 - 14152300) end if; end process; dN = d + dInc; process begin wait until A"event and A = "1"; d = dN; -- clock B tick whenever d(24) is zero end process;
關(guān)鍵詞: VHDL任意頻率分頻器
您可能也感興趣:
今日熱點(diǎn)
為您推薦
一季度社?;鹦逻M(jìn)14只個(gè)股 養(yǎng)老金賬戶新進(jìn)9只個(gè)股
一季度廣東保險(xiǎn)業(yè)總資產(chǎn)增長(zhǎng)9.99% 面向服務(wù)業(yè)加大風(fēng)險(xiǎn)保障力度
丹東發(fā)布工傷保險(xiǎn)費(fèi)率調(diào)整方案 將惠及1萬(wàn)多戶參保單位
更多
- 年產(chǎn)240萬(wàn)片12英寸外延片!中欣晶圓項(xiàng)目將試生產(chǎn)
- 三星加入韓國(guó)元老級(jí)創(chuàng)業(yè)公司DoubleMe的2500萬(wàn)美元融資計(jì)劃
- 石墨烯的量子魔法帶來(lái)了新超導(dǎo)材料
- Mendix公司與ValueMomentum升級(jí)合作關(guān)系,為客戶創(chuàng)造更大價(jià)值
- 軟通動(dòng)力首款SwanLinkOS商顯發(fā)行版通過(guò)認(rèn)證
- 康普觀點(diǎn):智能網(wǎng)絡(luò)是成功之道
- Codasip擴(kuò)大汽車處理器團(tuán)隊(duì)并任命Jamie Broome為負(fù)責(zé)該業(yè)務(wù)的副總裁
- 我國(guó)全球創(chuàng)新指數(shù)排名升至第12位 5年來(lái)發(fā)明專利達(dá)253.1萬(wàn)件
更多
- 樺甸經(jīng)開(kāi)區(qū)打出招商“組合拳” 簽約7個(gè)食品加工等領(lǐng)域項(xiàng)目
- 華僑城集團(tuán)14億元中票將付息 利率3.5%
- 中國(guó)銀行成功發(fā)行20.5億美元等值境外綠色債券
- 西寧城投8億元中期票據(jù)將于4月30日付息 債券利率6.5%
- 筆電行業(yè)廠商已砍單一成 6月或再度下調(diào)出貨目標(biāo)
- 中南建設(shè)(000961.SZ)擬發(fā)行不超100億元債務(wù)融資工具
- 降準(zhǔn)如期而至,未來(lái)進(jìn)一步“雙降”的趨勢(shì)通道依然存在丨投資人說(shuō)
- 溫州城建擬發(fā)行不超4.8億元超短期融資券,申購(gòu)區(qū)間為2%-3%
排行
最近更新
- 用VHDL設(shè)計(jì)的任意頻率分頻器
- 光纖光纜及其器件基礎(chǔ)概括
- 去醫(yī)院就診該如何描述自己的病情 要注意這四個(gè)方面
- 總臉紅心跳可能是得了甲亢 患者該如何治療
- 重慶市婚姻家庭社會(huì)工作“家和計(jì)劃”項(xiàng)目開(kāi)展“你好,情緒”...
- 組織進(jìn)行淫穢表演直播?小伙子你攤上事兒了
- 裸聊1分鐘,信息全泄露!
- 說(shuō)說(shuō)網(wǎng)站域名那些事兒
- 軟件工程師海外進(jìn)修變“軟禁”
- 晚起床不如早睡覺(jué) 睡眠質(zhì)量不好將會(huì)影響血糖
- “利潤(rùn)這么高?那我也試試!”
- 同城美女相邀私密約會(huì),你能把持的住嗎?
- 山泉汩汩
- 思鴻網(wǎng)校教資面試結(jié)構(gòu)化問(wèn)答,人際關(guān)系篇
- 【家庭賬單里的重慶】——小賬本起大作用 兒子變得“精打細(xì)算”
- 上海發(fā)揮互聯(lián)網(wǎng)醫(yī)院的作用 向市民提供在線復(fù)診等便民服務(wù)
- 一季度武漢固定資產(chǎn)投資增長(zhǎng)20% 工業(yè)經(jīng)濟(jì)新動(dòng)能效應(yīng)明顯
- 省外“破圈”不易 今世緣屢次提價(jià)營(yíng)收仍未達(dá)標(biāo)
- 真環(huán)保還是真賺錢(qián)?蘋(píng)果不送充電器血賺400多億
- 特斯拉第二工廠太搶手!9城競(jìng)奪塵埃未定,珠海稱:正洽談
- 可口可樂(lè)Q1營(yíng)收利潤(rùn)均超預(yù)期 疫情和通脹是兩大挑戰(zhàn)
- 割韭菜能管用?快狗打車四年巨虧28億,司機(jī)轉(zhuǎn)投貨拉拉
- 杭州2022年首批集中成交額超820億 本土房企表現(xiàn)強(qiáng)勁
- 子公司“失控”,科華生物或被實(shí)施退市風(fēng)險(xiǎn)警示
- 喜姐炸串再獲千萬(wàn)融資,開(kāi)業(yè)門(mén)店數(shù)存疑、加盟食安難控
- 央行下調(diào)外匯存款準(zhǔn)備金率,人民幣貶值降溫
- 中信銀行廣州分行原行長(zhǎng)謝宏儒違規(guī)收受禮品、禮金被通報(bào)
- 天價(jià)慈善活動(dòng)時(shí)隔兩年回歸:誰(shuí)將與巴菲特共進(jìn)最后一次午餐
- 離岸人民幣匯率跌破6.6關(guān)口,央行再度出手,影響幾何
- 浙商銀行一季度總資產(chǎn)規(guī)模同比增速超20%,資本充足率下滑
今日要聞
- 用VHDL設(shè)計(jì)的任意頻率分頻器
- 光纖光纜及其器件基礎(chǔ)概括
- 子公司“失控”,科華生物或被實(shí)施退市風(fēng)險(xiǎn)警示
- 湖南發(fā)布優(yōu)化營(yíng)商環(huán)境行動(dòng)計(jì)劃 加強(qiáng)企業(yè)信貸支持和用工保障
- 天價(jià)慈善活動(dòng)時(shí)隔兩年回歸:誰(shuí)將與巴菲特共進(jìn)最后一次午餐
- 真環(huán)保還是真賺錢(qián)?蘋(píng)果不送充電器血賺400多億
- 央行下調(diào)外匯存款準(zhǔn)備金率,人民幣貶值降溫
- 證監(jiān)會(huì)就萬(wàn)物云IPO反饋:要求說(shuō)明是否存股東利益輸送等問(wèn)題及公司受處罰整改情況
- 割韭菜能管用?快狗打車四年巨虧28億,司機(jī)轉(zhuǎn)投貨拉拉
- 特斯拉第二工廠太搶手!9城競(jìng)奪塵埃未定,珠海稱:正洽談